Синтез высокого уровня

Высокоуровневый синтез Основы высокоуровневого синтеза Высокоуровневый синтез (HLS) позволяет разработчикам создавать аппаратное обеспечение на более высоком уровне абстракции.  HLS автоматизирует […]

Высокоуровневый синтез

  • Основы высокоуровневого синтеза

    • Высокоуровневый синтез (HLS) позволяет разработчикам создавать аппаратное обеспечение на более высоком уровне абстракции. 
    • HLS автоматизирует процесс синтеза, позволяя разработчикам сосредоточиться на архитектуре и оптимизации. 
  • История и развитие HLS

    • Первые шаги в HLS были сделаны в 1990-х годах, с появлением поведенческого синтеза и компиляторов. 
    • В 2000-х годах Cynthesizer от Forte Design Systems и SystemC стали популярными инструментами. 
    • В 2010-х годах был разработан метод SDC modulo scheduling для оптимизации планирования и потоков данных. 
    • Инструменты HLS стали основой решений от Xilinx, Vivado HLS и Vitis HLS. 
  • Входные данные и этапы процесса

    • Высокоуровневый синтез использует стандартные языки, такие как ANSI C/C++, SystemC и MATLAB, для описания алгоритмов. 
    • Процесс синтеза включает лексическую обработку, оптимизацию, управление потоками данных, библиотечную обработку и другие этапы. 
  • Функциональность и архитектурные ограничения

    • Компиляторы HLS могут генерировать различные микроархитектуры в зависимости от входных данных. 
    • Синтез интерфейса позволяет анализировать и исследовать аппаратные интерфейсы. 
  • Инструменты HLS

    • В статье перечислены различные инструменты HLS, включая C-to-Silicon от Cadence Design Systems и XPilot от Калифорнийского университета в Лос-Анджелесе. 

Полный текст статьи:

Синтез высокого уровня — Википедия

Оставьте комментарий

Прокрутить вверх