Верилог-А

Verilog-A Основы Verilog-A Verilog-A — промышленный язык моделирования аналоговых схем, подмножество Verilog-AMS.  Некоторые коммерческие приложения экспортируют проекты MEMS в формате […]

Verilog-A

  • Основы Verilog-A

    • Verilog-A — промышленный язык моделирования аналоговых схем, подмножество Verilog-AMS. 
    • Некоторые коммерческие приложения экспортируют проекты MEMS в формате Verilog-A. 
  • История и стандартизация

    • Verilog-A создан для стандартизации языка Spectre в условиях конкуренции с VHDL. 
    • Open Verilog International поддержала стандартизацию при условии включения в Verilog-AMS. 
    • Verilog-A был первой фазой проекта Verilog-AMS, но переход в IEEE и задержка в разработке привели к отставанию от Verilog-AMS. 
  • Стандартная доступность и совместимость

    • Verilog-A является частью полного стандарта Verilog-AMS и доступен на веб-сайте Accellera. 
    • Verilog-A совместим с языком программирования C через автоматический синтезатор моделей устройств (ADMS). 
  • Пример кода

    • В статье приведен пример моделирования идеального диода в Verilog-A. 
    • Verilog-AMS позволяет реализовать простые источники постоянного напряжения и генераторы синусоидального напряжения. 
  • Дополнительные ресурсы

    • Ссылки на другие ресурсы, включая Verilog-AMS, Verilog и языковые руководства. 

Полный текст статьи:

Верилог-А — Википедия

Оставьте комментарий

Прокрутить вверх