Верилог-АМС

Verilog-AMS Основы Verilog-AMS Verilog-AMS — это расширение языка Verilog для описания аналоговых и смешанных сигналов.  Он включает в себя циклы […]

Verilog-AMS

  • Основы Verilog-AMS

    • Verilog-AMS — это расширение языка Verilog для описания аналоговых и смешанных сигналов. 
    • Он включает в себя циклы симуляции, основанные на событиях, и непрерывное моделирование для дифференциальных уравнений. 
  • Применение и стандарты

    • Verilog-AMS используется для создания и проверки сложных аналоговых и смешанных схем. 
    • Он является промышленным языком моделирования и подходит для описания как цифровых, так и аналоговых систем. 
    • Verilog и Verilog/AMS не являются процедурными языками, но предоставляют возможности для параллельного выполнения действий и событий. 
  • Пример кода и реализации

    • Verilog/AMS поддерживает все инструкции из цифрового домена Verilog и аналоговые компоненты из Verilog-A. 
    • Язык поддерживается коммерческими компаниями и сообществом моделирования транзисторов. 
    • ADMS translator и OpenVAF обеспечивают поддержку Verilog-AMS в симуляторах с открытым исходным кодом. 
  • Рекомендации и внешние ссылки

    • Verilog-AMS имеет подробное руководство и справочник, а также примеры моделей. 
    • Ссылки на дополнительные ресурсы и обсуждения доступны на сайте verilogams.com. 
  • Общие сведения

    • Verilog-AMS является надмножеством Verilog и поддерживает параллельное выполнение действий. 
    • Он может быть объединен с процедурными языками для упрощения реализации testsuite и взаимодействия с устаревшим кодом. 
  • Реализации с открытым исходным кодом

    • OpenVAMS, V2000 и другие проекты предоставляют реализации Verilog-AMS с открытым исходным кодом. 

Полный текст статьи:

Верилог-АМС — Википедия

Оставьте комментарий

Прокрутить вверх