VHDL-AMS

VHDL-AMS Основы VHDL-AMS VHDL-AMS является расширением VHDL для описания аналоговых и смешанных сигналов.  Стандарт IEEE 1076.1-2017 определяет VHDL-AMS для моделирования […]

VHDL-AMS

  • Основы VHDL-AMS

    • VHDL-AMS является расширением VHDL для описания аналоговых и смешанных сигналов. 
    • Стандарт IEEE 1076.1-2017 определяет VHDL-AMS для моделирования систем с аналоговыми и смешанными сигналами. 
  • Применение и особенности VHDL-AMS

    • VHDL-AMS используется для создания высокоуровневых описаний поведения и структурных описаний систем и компонентов. 
    • Он поддерживает непрерывную и управляемую событиями семантику моделирования, что делает его подходящим для различных типов схем. 
    • VHDL-AMS особенно полезен для проверки сложных аналоговых, смешанных и радиочастотных интегральных схем. 
  • Структура и примеры кода

    • Дизайн в VHDL-AMS включает объект интерфейса и архитектуру реализации. 
    • Проекты часто используют библиотечные модули и могут содержать несколько архитектур и конфигураций. 
    • Пример кода для идеального диода в VHDL-AMS приведен для иллюстрации структуры и синтаксиса. 
  • Симуляторы и интеграция

    • Упрощатель ANSYS и Виртуозный дизайнер AMS от Cadence являются популярными симуляторами для VHDL-AMS. 
    • Mentor Graphics Questa ADMS и Vision являются наставническими графическими системами для VHDL-AMS. 
    • SaberRD представляет собой краткий обзор инструментов для VHDL-AMS. 
  • Рекомендации и дополнительные языки

    • Verilog-AMS является аналогом для Verilog, а Modelica используется для моделирования физических систем. 
    • VHDL является языком интерфейса для проектирования электроники. 
    • Электронная автоматизация проектирования и очень масштабная интеграция также упоминаются в статье. 

Полный текст статьи:

VHDL-AMS — Википедия

Оставьте комментарий

Прокрутить вверх