VHDL — язык интерфейса

  • Основы VHDL

    • VHDL — язык описания аппаратных средств, разработанный в 1980-х годах. 
    • Используется для описания цифровых схем и их синтеза в аппаратные средства. 
    • Отличается от процедурных языков, таких как C и assembly code. 
  • Структура и использование VHDL

    • Проект на VHDL состоит из объекта и архитектуры. 
    • Большинство проектов импортируют библиотечные модули. 
    • Проекты могут содержать несколько архитектур и конфигураций. 
  • Примеры проектирования

    • Простой элемент AND в VHDL может быть описан как объект и архитектура. 
    • Более сложные конструкции, такие как мультиплексоры и защелки, также могут быть описаны в VHDL. 
  • Синтезируемые конструкции и шаблоны

    • VHDL используется для моделирования и синтеза электронных конструкций. 
    • Существуют стандартизированные подмножества VHDL для синтеза. 
    • Шаблоны мультиплексора и защелки являются распространенными примерами. 
  • Конструкции, предназначенные только для моделирования

    • Несинтезируемое подмножество VHDL используется только для прототипирования и моделирования. 
    • Примеры включают генерацию тактовых сигналов и создание сложных сигналов. 
  • Особенности VHDL-2008

    • Иерархические псевдонимы и стандартные библиотеки включены в стандарт IEEE. 
    • Существуют коммерческие и бесплатные симуляторы VHDL, включая GHDL и Vivado Design Suite. 
  • Рекомендации и дальнейшее чтение

    • Питер Джей. Эшенден и Брайан Мили предлагают руководства по VHDL. 
    • Яник Бержерон описывает создание тестовых стендов для HDL. 
    • Официальный веб-сайт и группа анализа и стандартизации VHDL предоставляют дополнительную информацию. 

Полный текст статьи:

VHDL — Википедия

Оставьте комментарий

Прокрутить вверх